O
omidsht
Guest
ahoj,
Chtěla jsem pracovat s čísly v pevné VHDL (bude synthesible), tak i Modelsim sestavují na 3 soubory v floatfixlib (math_utility_pkg, fixed_pkg, float_pkg) jako nový lib názvem knihovny ieee_proposed.
Pak jsem napsal následující kód:
Knihovna IEEE;
Knihovna ieee_proposed;
použití ieee.std_logic_1164.all;
použití ieee.numeric_std.all;
použití ieee_proposed.math_utility_pkg.all;
použití ieee_proposed.fixed_pkg.all;jednotka a_ent je
port (a: in std_logic: ='0 ';
b: z std_logic: ='0 ';
cc: mimo std_logic_vector (7 downto 0)
);
konec a_ent subjektu;
architektura a_arch z a_ent je
signálu c: std_ulogic_vector (11 downto 0);
signál o: ufixed (8 downto -3);
signálu int: integer rozmezí 0
- 125: = 10;
začítproces (a)
proměnnou a1: integer rozmezí 0
- 125: = 10;
proměnné a2: integer rozmezí 0
- 255;
variabilní a3: std_logic_vector (7 downto 0);
proměnné a4: std_logic_vector (7 downto 0);
začít
o <= to_ufixed (a1, 8, -3);
konci procesu;konec architektury a_arch;ale když chci syntetizovat jej leonardo dostává 3 chyby:
Chyba, ufixed není známo typu.
Chyba, ufixed vyžaduje 0 index hodnoty.
Chyba, nejednoznačnou signál úkolu.
Co mám dělat?
díky pokročilé, Omid sharifi tehrani
Chtěla jsem pracovat s čísly v pevné VHDL (bude synthesible), tak i Modelsim sestavují na 3 soubory v floatfixlib (math_utility_pkg, fixed_pkg, float_pkg) jako nový lib názvem knihovny ieee_proposed.
Pak jsem napsal následující kód:
Knihovna IEEE;
Knihovna ieee_proposed;
použití ieee.std_logic_1164.all;
použití ieee.numeric_std.all;
použití ieee_proposed.math_utility_pkg.all;
použití ieee_proposed.fixed_pkg.all;jednotka a_ent je
port (a: in std_logic: ='0 ';
b: z std_logic: ='0 ';
cc: mimo std_logic_vector (7 downto 0)
);
konec a_ent subjektu;
architektura a_arch z a_ent je
signálu c: std_ulogic_vector (11 downto 0);
signál o: ufixed (8 downto -3);
signálu int: integer rozmezí 0
- 125: = 10;
začítproces (a)
proměnnou a1: integer rozmezí 0
- 125: = 10;
proměnné a2: integer rozmezí 0
- 255;
variabilní a3: std_logic_vector (7 downto 0);
proměnné a4: std_logic_vector (7 downto 0);
začít
o <= to_ufixed (a1, 8, -3);
konci procesu;konec architektury a_arch;ale když chci syntetizovat jej leonardo dostává 3 chyby:
Chyba, ufixed není známo typu.
Chyba, ufixed vyžaduje 0 index hodnoty.
Chyba, nejednoznačnou signál úkolu.
Co mám dělat?
díky pokročilé, Omid sharifi tehrani