Jak používat interní DLL nebo DCM FPGA spartan2

S

shreshtha

Guest
Četl jsem list, ale přesto já dont vědět, jak instanci DCM
prosím, sdělte to "kód"

lze jej použít pro násobení a dělení obou?

co je tu výhodu, že jeho použití namísto vytváření vlastních CLK dělič ...

je to pro ised vícesazbové systému a jejich synchronizace?

please help.

i ll be velmi zavázán ful 2 u;

 
Niezależnie od tego, czy definiujemy je jako obraz, zbiór cyfr czy dane osobowe - informacje w dzisiejszym cyfrowym świecie są nie tylko wartością samą w sobie, ale przede wszystkim siłą napędową rozwoju cywilizacyjnego. Wojna o nie trwa.

Read more...
 
Najdete instance šablony v Xilinx knihovně průvodce.Přečtěte si také XAPP174.
Existují dvě primitiva v spartan2 CLKDLL a CLKDLLHF.S CLKDLL můžete provádět 2 násobení a dělení o 1,5, 2, 2.5, 3, 4, 5, 8 a 16, vstup do 100MHz pro -6 verzi.CLKDLLHF bude probíhat až do 200MHz, ale nemůžete udělat násobení s ním.Použití dělič z DLL ušetří nějakou logiku, bylo by to jednu výhodu.Pokud chcete používat knihovny DLL s jiného zdroje, než BUFG nebo IBUFG, tak nezapomeňte dát to do proměnné prostředí: XIL_MAP_ALLOW_ANY_DLL_INPUT = TRUE
DCM je primitivní pouze ve 3 Spartan a Virtex II série, a to v Spartan 2.

/ pisoiu

 
nazdar,
DCM a DLL jsou využívány jak pro dělení a násobení frekvence.

s ohledem,
Kul.

 
hi shreshtha,
u lze snadno vložit DCM modely uvnitř konstrukce.
ISE má šablon pro všechny Instantiating primitiv.Jen se podívejte na ISEtemplate-> VHDL-> DevicePrimitiveInstantiation-> FPGA-> Hodiny komponenty-> DCM.

 
můžete přímo instanci DLL v kódu, stejně jako ty pro ram.

shreshtha napsal:

Četl jsem list, ale přesto já dont vědět, jak instanci DCM

prosím, sdělte to "kód"lze jej použít pro násobení a dělení obou?co je tu výhodu, že jeho použití namísto vytváření vlastních CLK dělič ...je to pro ised vícesazbové systému a jejich synchronizace?please help.i ll be velmi zavázán ful 2 u;
 
shreshtha napsal:

Četl jsem list, ale přesto já dont vědět, jak instanci DCM

prosím, sdělte to "kód"
 

Welcome to EDABoard.com

Sponsor

Back
Top