NCLaunch Nápověda

J

Jitendra

Guest
Zdravím všechny,
Jsem nový Cadence nástroje a potřebují pomoc při NC-VHDL simulátor.macro files to compile multiple files and performing some time consuming repeatative tasks and run this macro using command do *.do
.

V ModelSim jsem psát *. udělat
makro soubory pro kompilaci více souborů a provádění některých časově náročné repeatative úkoly a spustit toto makro pomocí příkazu do *. dělat.

Jak to udělat stejný úkol v NC-VHDL simulátor?Řekněme, že potřebuji ke kompilaci 100 VHDL soubory.
Vaší pomoci si velmi ceníme.
Díky,
Jitendra

 
napište umístění všech zdrojových souborů v souboru.
a potom zadejte ncverilog-f "filelist" v Unixu hbitý

 
Díky whizkid,
To funguje pro kompilaci více souborů.
Žádám vás o poskytnutí jakékoli řešení, řekněme chci:

1.Kompilovat 100 souborů.
2.komplikované můj design
3.simulovat snímek

Mohu dělat všechny tyto kroky do psaní nějaké příkazy v jediném souboru (makro)?

Díky,
Jitendra

 
I havent pracoval s NCsim GUI, s výjimkou křivky diváka.

dobře ..Vždycky jsem si výpis signál. Trn formátu (můžete to udělat přidáním několika řádků v testbench, používání $ recordvars, $ recordfile Taksim) a použití signálu scan pro zobrazení ..

 
Jitendra napsal:

Zdravím všechny,

Jsem nový Cadence nástroje a potřebují pomoc při NC-VHDL simulátor.

macro files to compile multiple files and performing some time consuming repeatative tasks and run this macro using command do *.do
.
V ModelSim jsem psát *. udělat
makro soubory pro kompilaci více souborů a provádění některých časově náročné repeatative úkoly a spustit toto makro pomocí příkazu do *. dělat.Jak to udělat stejný úkol v NC-VHDL simulátor?
Řekněme, že potřebuji ke kompilaci 100 VHDL soubory.

Vaší pomoci si velmi ceníme.

Díky,

Jitendra
 
Jitendra napsal:

Žádám vás o poskytnutí jakékoli řešení, řekněme chci:1.
Kompilovat 100 souborů.

2.
komplikované můj design

3.
simulovat snímekMohu dělat všechny tyto kroky do psaní nějaké příkazy v jediném souboru (makro)?Díky,

Jitendra
 
Díky Ajeetha,
Ale já jsem s operačním systémem Windows.
Vlastně chci napsat makro, které bude sestavovat soubor VHDL souborů v závislosti na argumenty prošel.
Argumenty jsou obvykle voleb, které rozhodnou počasí pro kompilaci některých souborů nebo ne (některé soubory nejsou potřebné pro konkrétní druh simulace).

Díky ELEKTOR ale nemohu upřesnit argumenty s "vstupní" nebo "zdroj" příkaz.Díky,
Jitendra

 
Jitendra napsal:

Díky Ajeetha,

Ale já jsem běh na windows.Thanks,

Jitendra
 
hi,
může někdo konkrétně třeba zaměřit nějaké věci na 'momentku'.

Díky předem.

 
Možná můžete zkusit použít Cshell ...

#!/ Bin / csh

ncvhdl-update a.vhd
..
..
..
ncvhdl-update b.vhdncelab 1 dolar
ncsim 1 dolar

(Konec)

vám jméno tohoto skriptu jako běh.

pak "spustit aaa" je ok ...

 

Welcome to EDABoard.com

Sponsor

Back
Top