začátečník!

L

lupineye

Guest
Nazdar!

Jsem self-žák a nováček VHDL.

Mám otázku!prosím někdo odpověď na tuto jednoduchou otázku!

kód je jednoduchý paralelní na sériový převodník.

Když jsem simulovat pod kódem, nevidím "reg" signál. TBW * soubor pomocí testbench tvaru vlny.

Co dělat, když chci zobrazit "reg" signál změny. TBW * souboru.

pouze port signál lze pozorovat po simulace (Jak signál deklarované v oboru architektury nebo jiné?)Thanks in advance.jednotka je paralleltoserial
Port (d: in std_logic_vector (7 downto 0);
clk: in std_logic;
zatížení: v std_logic;
dout: out std_logic);
konec paralleltoserial;

Behaviorální architektura z paralleltoserial je

signálu reg: std_logic_vector (7 downto 0);

začít
process (CLK)
začít
if (clk'event a CLK = '1 ') then
if (load = '1 ') then
reg <= d;
jiné
reg <= reg (6 downto 0) & '0 ';
end if;
end if;
end process;
dout <= reg (7);
konec behaviorial;

 
Nevidíte "reg", protože se používá pro připojení dout a d. Ale nemají fyzické value.It hrát pouze roli prostředníka.
můžete vidět přístav a port ven.

Použijete-li ModelSim (s ISE) můžete opravit formou wawe, a tak, chápete víc.

 
Simulátor, který používáte?Téměř všechny simulátory mohou ukázat vnitřní signály v průběhů, používal jsem Modelsim, VCSMX, NCSIM, Aldec atd.

Jako vedlejší poznámku pomocí "reg" jako signál, jméno je špatný styl, jak to je klíčové slovo v společník HDL - Verilog.Je dobrým zvykem, aby se zabránilo pomocí klíčových slov na obou HDLs jako identifikátory (jako průmyslové použití obou HDLs široce).

Pokud jste se sídlem v Bangalore, může tyto informace užitečné pro vás: moje firma dodává kurz na téma "Komplexní funkční ověření", je 1 den kurzu, viz www.noveldv.com podrobnosti a kontaktní cvc.training (na ) gmail.com v případě zájmu.

Hodně štěstí
Ajeetha, CVC
www.noveldv.com

 
I'm using Xilinx ISE simulační nástroj!

I worte testbench místo pomocí testbench tvaru vlny!

Nicméně, pořád nevím, jak zobrazit vnitřní signál!

prosím!dej mi radu.

díky moc!

 
Promiň, že jsem se nesmí používat simulační nástroj ISE.Proč nezkusíš ModelsimXE - Xilinx Edition, která je k dispozici zdarma na xilinx.com?

Ajeetha, CVC
www.noveldv.com

 
Pokud vaše použití Active_VHDL tam je ikona s názvem "wave_form".po dvojím kliknutí zobrazí okno v pravém a všech signálů a proměnných v levé části.
můžete zvolit, je na displeji.
Hodně štěstí
moghtada

 
V "Sim Hierarchie" podložka (vlevo) najdete seznam signálů používaných ve vás design, rozšiřovat své chování modelu vyhledejte signál přetáhněte ji do okna simulace, restartujte simulace (simulace -> restart , pak simulace -> spustit all) ...by to mělo fungovat.
Možná přiložený obrázek současné době ve více jasným způsobem.<img src="http://images.elektroda.net/40_1165538844.JPG" border="0" alt="beginner! question about testbench" title="začátečník! otázku testbench"/>
 

Welcome to EDABoard.com

Sponsor

Back
Top