pomůže skript dc_shell-t

O

ouahhabi5

Guest
Vím, že je hloupá otázka, ale já jsem jen bigginer v této myslí,
that actions :

Chci napsat skript, který tcl jazyk,

aby akce:
Reset-designu
-ceation hodiny
-force vstupů přístavu kromě přístavu CLK
-force výstupy portu
-přijmout provozních podmínek, které mají ve specifikaci technologického knihkupectví
-Automatický výběr z wire_load_model
- Definovat driving_cell na vstupy (kromě CLK)
-definovat maximální kapacitní na vstupy portu
-vymezit kapacitní zátěž na výstupy portuo spefications jsou:
-Frekvence hodin 200 Mhz (5ns)
-Provozní stav wc représenté de la libraire core_slow.db (1.62V, 125 ° C)
-Wire_load_model Automatický výběr
-Omezení na vstupy 80% hodinové období
-Omezení na výstupu 20% hodinové období
-Cell krmení vstupy de t f f 1 a 1 T Ť pin Q Ť
Kapacita-max o vstupy 5 tun a 2 a 1 T Ť pin A T
-A počet bloků dodávány s výstupy 3Jen jsem napsal tento lignes:
reset_design
create_clock-5-dobu jméno myclk [get_ports CLK]
set_input_delay max 1-hodinové myclk [remove_from_collection [all_ Vstupy] [get_ports CLK]]
set_output_delay 1-maxřekni mi plz v případě, že je správné, a potřebuju, aby i nadále pomáhá skript díky za každý

 
Termin "snowshoe" odnosi się do metody jaką spamerzy wykorzystują wysyłając swoje wiadomości przez duże obszary Internetu, ciągle utrzymując je na powierzchni, tak jak to robią rakiety śnieżne.

Read more...
 
Tady to jde od DC Jeho přípravy materiálu!
DC traininng materiál je dobré reference, zatímco píšete ur skripty!

Kód:

# Vytvoření uživatelem definované proměnné

nastavit CLK_PORT [get_ports CLK]

nastavit CLK_PERIOD 4,0

nastavit WC_SKEW 0,25

nastavit DRV_CELL buf1a3

nastavit DRV_PIN "Y"

nastavit OUTPUT_LOAD [load_of ssc_core_slow/buf1a2/A]

nastavit INPUT_DELAY 2,0

nastavit OUTPUT_DELAY 2,0

nastavit ALL_INS_EX_CLK \

[remove_from_collection [all_inputs] [get_ports CLK]]# Start s unconstrainted designu

reset_designset_operating_conditions-max slow_125_1.62create_clock-období $ CLK_PERIOD-name my_clk $ CLK_PORTset_dont_touch_network [get_clocks my_clk]set_clock_uncertainty $ WC_SKEW [get_clocks my_clk]set_driving_cell-lib_cell $ DRV_CELL-pin DRV_PIN $ $ ALL_INS_EX_CLKset_load $ OUTPUT_LOAD [all_outputs]set_input_delay $ INPUT_DELAY-max-hodinové my_clk $ ALL_INS_EX_CLKset_output_delay $ OUTPUT_DELAY-max-hodinové my_clk [all_outputs]

 
thanks you very much realy i dont know what can i do without this forum.

je to velmi pomůže od vás nand_gates

díky velmi vážně i dont vědět, co mohu udělat, aniž by toto fórum.can you tell more about DC training material
and if you have it can you give it to me.

plz nand_gates
můžete říct více o DC školicí materiály,
a pokud jste si ji můžete dát to na mě.děkuji a znova [/ img]

 

Welcome to EDABoard.com

Sponsor

Back
Top